当前位置:凯发网站-凯发k8国际官方网站 > 通信技术 >
[导读]uart(universal asynchronous receiver/transmitter)是一种通信协议,用于在电子设备之间传输数据。它是一种串行通信协议,意味着数据位按顺序一个接一个地传输。

一、rtl viewer

uart(universal asynchronous receiver/transmitter)是一种通信协议,用于在电子设备之间传输数据。它是一种串行通信协议,意味着数据位按顺序一个接一个地传输。

在串行通信中,每个数据位按照顺序传输,而在并行通信中,多个数据位可以同时传输。串行通信更适合长距离传输和连接设备之间的通信。

uart是异步通信协议,这意味着数据传输不依赖于定时时钟。相反,发送和接收设备之间的通信通过起始位、数据位、奇偶校验位和停止位的组合来同步。这种异步性使得uart协议在不同速率和不同设备之间的通信更为灵活。

uart通信通常涉及两个设备,一个充当发送器,一个充当接收器。它们通过两根线(tx线和rx线)连接。tx线用于发送数据,rx线用于接收数据。

uart广泛用于嵌入式系统、传感器、模块之间的通信,以及计算机系统中串行端口的实现。它是一种简单而可靠的通信方式,适用于各种不同的应用场景。

二、引脚描述

 

三.波特率计算

系统时钟50mhz

(1bit/波特率bit/s)秒 / (1/50mhz)秒

 

四.uart时序

uart数据帧包括起始位(start bit)、数据位、奇偶校验位(parity bit,可选)、停止位(stop bit)。起始位指示数据帧的开始,停止位表示数据帧的结束。数据位的数量决定了可以传输的数据范围,而奇偶校验位用于检测传输错误。


 

五.verilog代码

module uart_rx( clk , rst_n , rx_uart , rx_data);parameter data_r = 8;parameter data_0 = 13;parameter data_1 = 4;input clk;input rst_n;input rx_uart;output [data_r-1:0] rx_data;reg [data_r-1:0] rx_data;reg [data_0-1:0] cnt0;wire add_cnt0;wire end_cnt0;reg [data_1-1:0] cnt1 ;wire add_cnt1;wire end_cnt1;wire nedge;reg flag_add;//9600比特率计数always @(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt0 <= 0; end else if(add_cnt0)begin if(end_cnt0) cnt0 <= 0; else cnt0 = cnt0 1'b1; endendassign add_cnt0 = flag_add;assign end_cnt0 = add_cnt0 && cnt0 == 5208 - 1;//9比特串口数据计数always @(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt1 <= 0; end else if(add_cnt1)begin if(end_cnt1) cnt1 <= 0; else cnt1 <= cnt1 1'b1; endendassign add_cnt1 = end_cnt0;assign end_cnt1 = add_cnt1 && cnt1 == 9 - 1;//边沿检测,接d触发器//异步信号同步化,防止亚稳态,打两拍reg[2:0] uart_sync;always @(posedge clk or negedge rst_n)begin if(!rst_n)begin uart_sync <= 3'b111; end else uart_sync <= {uart_sync[1:0],rx_uart};endassign nedge = uart_sync[2:1] == 2'b10;//当接收到的串口由1变0时,flag_add置位//当计数结束时,flag_add复位always @(posedge clk or negedge rst_n)begin if(!rst_n)begin flag_add <= 0; end else if(nedge)begin flag_add <= 1; end else if(end_cnt1)begin flag_add <= 0; endend//cnt1 == 0时,接收的是起始位0,1-8才是数据位always @(posedge clk or negedge rst_n)begin if(!rst_n)begin rx_data <= 8'h00; end else if (add_cnt0 && cnt0 == 5208/2 - 1 && cnt1 > 0) begin rx_data[cnt1 - 1] <= rx_uart; endendendmodule

module uart_tx( clk , rst_n , tx_vld , tx_data , uart_tx);parameter data_t = 8;parameter data_0 = 13;parameter data_1 = 4;input clk;input rst_n;input tx_vld;input [data_t-1:0] tx_data;output uart_tx;reg uart_tx;reg [data_0-1:0] cnt0;wire add_cnt0;wire end_cnt0;reg [data_1-1:0] cnt1;wire add_cnt1;wire end_cnt1;reg add_flag;reg [9:0] tx_data_temp;wire load_data;wire en_send;//9600波特率计数器always @(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt0 <= 0; end else if(add_cnt0)begin if(end_cnt0) cnt0 <= 0; else cnt0 <= cnt0 1'b1; endendassign add_cnt0 = add_flag;assign end_cnt0 = add_cnt0 && cnt0 == 5208 - 1;//10比特数据发送计数器always @(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt1 <= 0; end else if(add_cnt1)begin if(end_cnt1) cnt1 <= 0; else cnt1 <= cnt1 1'b1; endendassign add_cnt1 = end_cnt0;assign end_cnt1 = add_cnt1 && cnt1 == 10 - 1;//add_flagalways @(posedge clk or negedge rst_n)begin if(!rst_n)begin add_flag <= 0; end else if(tx_vld)begin add_flag <= 1; end else if(end_cnt1)begin add_flag <= 0; endend//装载数据always @(posedge clk or negedge rst_n)begin if(!rst_n)begin tx_data_temp <= 0; end else if(load_data)begin tx_data_temp <= {1'b1,tx_data,1'b0}; endendassign load_data = tx_vld && !add_flag;//发送数据always @(posedge clk or negedge rst_n)begin if(!rst_n)begin uart_tx <= 1;//1空闲位 end else if(en_send)begin uart_tx <= tx_data_temp[cnt1]; endendassign en_send = add_cnt0 && cnt0 == 0;endmodule

module uart_port( clk , rst_n , rx_uart , tx_vld , tx_data , rx_data , uart_tx);input clk;input rst_n;input rx_uart;input tx_vld;input [8-1:0] tx_data;output [8-1:0] rx_data;output uart_tx;wire [8-1:0] rx_data;wire uart_tx;uart_rx uart_rx( .clk (clk), .rst_n (rst_n), .rx_uart(rx_uart), .rx_data(rx_data));uart_tx uart_tx( .clk (clk), .rst_n (rst_n), .tx_vld (tx_vld), .tx_data(tx_data), .uart_tx(uart_tx));endmodule

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

samd21rt采用64引脚陶瓷和塑料封装,基底面为10 mm × 10 mm

关键字:

bourns® tlvr1005t 和 tlvr1105t 系列采用双绕组结构和低感值设计,可提供快速瞬态响应,并可依据 cpu、fpga 和 asic 负载要求进行延展

关键字:

对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 amd alveo™ v80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 fpga 硬件灵活应变能力以实现工作...

关键字:

8b10b编码作为数字通信领域中的一项重要线路编码方案,其核心理念在于将每8位数据映射到10位编码中。这个映射过程严格按照特定规则进行,旨在保证编码中的电平转换足够,以维持信号的直流平衡,并提供足够的时钟信息,使接收端能...

关键字:

在fpga和ic设计领域,经常会面临一个挑战:多个端口同时竞争一个端口的数据。在这种情况下,采用rr调度策略可能是一种凯发网站的解决方案。

关键字:

2024 年5月13日 – 专注于推动行业创新的知名新品引入 (npi) 代理商™贸泽电子 (mouser electronics) 是英特尔®产品的全球授权代理商。英特尔®宣布正式成立altera™,作为其独立运营的全...

关键字:

bluespec支持加速器功能的risc-v处理器将achronix的fpga转化为可编程soc

关键字:

为无处不在的端侧设备插上ai的翅膀,amd发布第二代versal™ 自适应 soc

关键字:

pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字:

近日举办的gtc大会把人工智能/机器学习(ai/ml)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(gpgpu)时代的来临,而是包括gpu、fpga和npu等一众数据处理加速器时代的来临,就像gpu...

关键字:
")); "));
网站地图